We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 044c46a commit 18540a5Copy full SHA for 18540a5
projects/adrv9009/kcu105/system_constr.xdc
@@ -97,3 +97,7 @@ set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXSYSCLKSEL[1]]
97
set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[0]]
98
set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[1]]
99
set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[2]]
100
+
101
+# Hold time constraints for critical paths
102
+set_max_delay -datapath_only -from [get_clocks mmcm_clkout1] -to [get_clocks mmcm_clkout0] 5.0
103
+set_min_delay -from [get_clocks mmcm_clkout1] -to [get_clocks mmcm_clkout0] 1.0
0 commit comments