Skip to content

Commit 18540a5

Browse files
committed
projects/adrv9009/kcu105: Fix timing
Signed-off-by: AndrDragomir <andrei.dragomir@analog.com>
1 parent 044c46a commit 18540a5

File tree

1 file changed

+4
-0
lines changed

1 file changed

+4
-0
lines changed

projects/adrv9009/kcu105/system_constr.xdc

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -97,3 +97,7 @@ set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXSYSCLKSEL[1]]
9797
set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[0]]
9898
set_case_analysis -quiet 1 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[1]]
9999
set_case_analysis -quiet 0 [get_pins -quiet -hier *_channel/RXOUTCLKSEL[2]]
100+
101+
# Hold time constraints for critical paths
102+
set_max_delay -datapath_only -from [get_clocks mmcm_clkout1] -to [get_clocks mmcm_clkout0] 5.0
103+
set_min_delay -from [get_clocks mmcm_clkout1] -to [get_clocks mmcm_clkout0] 1.0

0 commit comments

Comments
 (0)